blob: 0b8203e4537354814ddf81f03d0ac329b6bb023f [file] [log] [blame]
/* SPDX-License-Identifier: Apache-2.0 */
&i2c1 {
eeprom0: eeprom@54 {
compatible = "atmel,at24";
reg = <0x54>;
label = "EEPROM_0";
size = <1024>;
pagesize = <16>;
address-width = <8>;
timeout = <5>;
};
};
&i2c2 {
eeprom1: eeprom@56 {
compatible = "atmel,at24";
reg = <0x56>;
label = "EEPROM_1";
size = <1024>;
pagesize = <16>;
address-width = <8>;
timeout = <5>;
};
};