blob: 44f3f92a3d4696959ff453a511bbc2e29688b6da [file] [log] [blame]
/*
* Copyright (c) 2022 Kumar Gala <galak@kernel.org>
*
* SPDX-License-Identifier: Apache-2.0
*/
test_dma0: &edma_lpsr0 { };