blob: b0436e37a7eabab4ae11a10393e0e42d0823da2d [file] [log] [blame]
/*
* Copyright (c) 2022 Kumar Gala <galak@kernel.org>
*
* SPDX-License-Identifier: Apache-2.0
*/
test_dma0: &dma2 { };