blob: 66d1c3d5bb4a82d6c6f6b51eef9d8cccb70154c9 [file] [log] [blame]
#
# Copyright (c) 2019, STMicroelectronics
#
# SPDX-License-Identifier: Apache-2.0
#
CONFIG_SPI_4=y
CONFIG_SPI_LOOPBACK_DRV_NAME="SPI_4"