blob: 2731332e26b91cb0712b3362b400621579e1b770 [file] [log] [blame]
/*
* Copyright (c) 2022 Kumar Gala <galak@kernel.org>
*
* SPDX-License-Identifier: Apache-2.0
*/
tst_dma0: &dmac { };