blob: d2b49977fdccf316309c028a7066379e1a9f1aac [file] [log] [blame]
/*
* Copyright (c) 2023 Intel Corporation
* SPDX-License-Identifier: Apache-2.0
*/
/ {
aliases {
i2c-ram = &arduino_i2c;
};
};