blob: 05590a893fd2600d5c42434371c6cce379fca2c6 [file] [log] [blame]
/*
* Copyright (c) 2023 Sven Herrmann
* SPDX-License-Identifier: Apache-2.0
*/
&pinctrl {
uart0_default: uart0_default {
group1 {
psels = <NRF_PSEL(UART_TX, 0, 7)>,
<NRF_PSEL(UART_RX, 0, 6)>;
};
};
uart0_sleep: uart0_sleep {
group1 {
psels = <NRF_PSEL(UART_TX, 0, 7)>,
<NRF_PSEL(UART_RX, 0, 6)>;
low-power-enable;
};
};
};