blob: 6f75c8ab1bac2ecba776bfc26ff45a0e3c749436 [file] [log] [blame]
/*
* SPDX-License-Identifier: Apache-2.0
*
* Copyright (c) 2023 Andriy Gelman
*/
&die_temp {
status = "okay";
};