blob: 5f4d3a2d80eb621bfac2f1fe25b0aaa3d474e515 [file] [log] [blame] [edit]
CONFIG_STDOUT_CONSOLE=y
CONFIG_FLASH=y
CONFIG_MSPI=y