blob: a4c870ab2c83684aae6a07d8b1e13557469a2ed0 [file] [log] [blame]
/*
* Copyright (c) 2024 David Ullmann
*
* SPDX-License-Identifier: Apache-2.0
*/
int main(void)
{
return 0;
}