blob: 0ed5c08c87f20f622f1b26e1dab57677031d4a7c [file] [log] [blame]
/*
* Copyright (c) 2024 STMicroelectronics
*
* SPDX-License-Identifier: Apache-2.0
*/
/ {
aliases {
rtc = &rtc;
};
};