blob: a3c7c37d62b7d975b54c8c516e10adbb7d951687 [file] [log] [blame]
#
# Copyright (c) 2018, Foundries.io
#
# SPDX-License-Identifier: Apache-2.0
#
title: UART Device Base Structure
description: >
This binding gives the base structures for all uart devices
inherits:
!include base.yaml
parent:
bus: uart
properties:
label:
category: required